nitunit: allows multiple independant block in a single comment
authorJean Privat <jean@pryen.org>
Thu, 24 Apr 2014 03:36:41 +0000 (23:36 -0400)
committerJean Privat <jean@pryen.org>
Fri, 25 Apr 2014 00:45:02 +0000 (20:45 -0400)
commit1c7210da0d3db8a384e4be19f2db1f1047b531c2
tree292d77df29e44c2a78ac6198816c8f0558706a6d
parent8a32055e23190d6caa49926c2905cce4b1197de9
nitunit: allows multiple independant block in a single comment

A new block is started by non-trivial modules

Signed-off-by: Jean Privat <jean@pryen.org>
src/nitunit.nit