modelbuilder: avoid infinitive recursion in case of module importation loops
authorJean Privat <jean@pryen.org>
Wed, 5 Mar 2014 19:40:21 +0000 (14:40 -0500)
committerJean Privat <jean@pryen.org>
Wed, 5 Mar 2014 19:40:21 +0000 (14:40 -0500)
commitaf95cbacb01d922afb63a5ee14f53978b86579a4
treee2246b9763dde18596e52ec6eaf52166ba9fbbf3
parent78c344ff31e80c62af5c781e57f1cbb1b8165be6
modelbuilder: avoid infinitive recursion in case of module importation loops

Signed-off-by: Jean Privat <jean@pryen.org>
src/modelbuilder.nit