From e7bc2a03503f1962f6f6c203e16432d951aec6be Mon Sep 17 00:00:00 2001 From: Jean-Sebastien Gelinas Date: Sat, 11 Jul 2009 01:01:42 -0400 Subject: [PATCH] clib: add signal 'SIGBUS' for compatibility with MAC Signed-off-by: Jean-Sebastien Gelinas Signed-off-by: Jean Privat --- lib/nit_main.c | 1 + 1 file changed, 1 insertion(+) diff --git a/lib/nit_main.c b/lib/nit_main.c index 86ddd7c..d20e393 100644 --- a/lib/nit_main.c +++ b/lib/nit_main.c @@ -52,6 +52,7 @@ void prepare_signals(void) { signal(SIGILL, exithandler); signal(SIGFPE, exithandler); signal(SIGTERM,exithandler); + signal(SIGBUS, exithandler); } struct trace_t *tracehead = NULL; void nit_exit(int i) { -- 1.7.9.5