Merge: astbuilder: Introduction of new construction services
[nit.git] / tests / sav / error_class_generic.res
1 error_class_generic.nit:23,1--3: Syntax Error: unexpected keyword 'end'.