nitunit: allows multiple independant block in a single comment
authorJean Privat <jean@pryen.org>
Thu, 24 Apr 2014 03:36:41 +0000 (23:36 -0400)
committerJean Privat <jean@pryen.org>
Fri, 25 Apr 2014 00:45:02 +0000 (20:45 -0400)
A new block is started by non-trivial modules

Signed-off-by: Jean Privat <jean@pryen.org>


No differences found