Is this flag set empty?

Property definitions

sdl2 $ SDLInitFlags :: is_empty
	# Is this flag set empty?
	fun is_empty: Bool `{ return self == 0; `}
lib/sdl2/sdl2_base.nit:133,2--134,43